セール中 カテゴリ一覧 著者一覧
TANG PriMERで始めるFPGA&Verilog入門 AI時代の高速・並列計算デバイスへの第一歩
0件
1,980円(税込)
獲得ポイント: 20pt
通常:
20pt

TANG PriMERで始めるFPGA&Verilog入門 AI時代の高速・並列計算デバイスへの第一歩

発売日 : 2020年6月26日
想定ページ数 : 116ページ
ISBN : 9784844378877
ダウンロード : PDF EPUB
全文検索 : 対応
これまで高価だったFPGAボードですが、大幅に安価なFPGAボード「TANG PriMER FPGA Dev. Board」の登場で、手に届きやすくなりました。この開発環境の構築や汎用開発言語Verilog HDLの基礎を紹介するのが本書の目的です。

【目次】
第1章 FPGAの特徴と用途
第2章 TANG PriMERの特徴
第3章 FPGA開発の概要
第4章 論理回路/デジタル回路の基礎
第5章 開発環境の構築
第6章 開発環境の使い方
第7章 Verilog HDLの基礎
第8章 Icarus Verilogによる回路シミュレーション
付録A 2入力回路実習の準備

目次

まえがき
第1章 FPGAの特徴と用途
1.1 論理回路を構成する半導体チップ
1.2 論理回路/デジタル回路とは何か
1.3 プログラム可能なゲートアレイ
1.4 各社色々FPGA
1.5 CPUやマイコンとの違い
1.6 FPGAのエッジコンピューティングへの活用
第2章 TANG PriMERの特徴
2.1 価格が安い
2.2 シンプルな構成
2.3 FPGAボードの特徴
第3章 FPGA開発の概要
3.1 FPGA開発のフロー
3.2 論理回路の設計
3.3 HDLとは何か
3.4 シミュレーションによる設計検証
3.5 インプリメンテーション
第4章 論理回路/デジタル回路の基礎
4.1 正論理と負論理
4.2 組み合わせ回路と順序回路
4.3 同期回路と非同期回路
4.4 クロックとは
4.5 タイミングチャート
4.6 Setup/Hold Time
4.7 並列に動作する
第5章 開発環境の構築
5.1 インストール環境
5.2 Tang Dynastyインストーラーの入手
5.3 インストールの実行
5.4 Tang Dynastyの起動
5.5 デバイスドライバーのインストール
第6章 開発環境の使い方
6.1 Tang Dynastyプロジェクトの準備
6.2 外部端子の設定
6.3 プロジェクトのコンパイル
6.4 プロジェクトの書き込み
6.5 プロジェクトの動作確認
第7章 Verilog HDLの基礎
7.1 Verilogファイルの構成
7.2 NOT回路
7.3 OR回路
7.4 AND回路
7.5XOR回路
7.6 セレクタ回路
7.7 デコーダ回路
7.8 フリップフロップ
7.9 カウンタ
7.10 リセット信号の入力
7.11 レジスタに初期値を設定する
7.12 RGB-LEDの点灯色が自動で変わる回路
7.13 シフトレジスタ
7.14 回路の階層化
第8章 Icarus Verilogによる回路シミュレーション
8.1 回路のシミュレーションとは
8.2 検証対象の回路
8.3 Icarus Verilogのインストール
8.4 Icarus Verilogの起動
8.5 GTKWaveの起動
8.6 テストベンチの用意
8.7 コンパイル
8.8 シミュレーションの実行
8.9 信号波形の確認
付録A 2入力回路実習の準備
A.1 ジャンパーワイヤーの準備
A.2 2つの入力ポートの準備
A.3 ジャンパーワイヤー接続端子の設定
A.4 入出力を正論理に対応付ける
A.5 ジャンパーワイヤーによる入出力と論理の対応のまとめ
参考書籍
あとがき

ユーザーレビュー

レビューがありません
書籍をシェアする